irun或者vcs dump波形文件的方法

irun 当我们在irun下面需要dump波形文件的时候, 一般会在tb文件中写下如下的代码: module tb_top; ... logic [1023:0] testcase_reg; initial begin string testcase; $value$plugargs("UVM_TESTNAME=%s", testcase); testcase_reg = $sformatf("waveform.%s.shm", testcase); $shm_open(testcase_reg); $shm_probe("AS"); end endmodule 注意, 这里之所以

Codeviz 工具编译与使用

codeviz 用来生成代码调用关系 安装 $ git clone https://github.com/petersenna/codeviz $ cd compilers $ ncftpget ftp://ftp.gnu.org/pub/gnu/gcc/gcc-4.6.2/gcc-4.6.2.tar.gz $ ./install_gcc-4.6.2.sh /usr/local/gcc-graph codeviz目录下的bin/目录就是使用的脚本, 所以也不需要安装, 唯一需要做的事情是需